Vision and System Design Lab

Publications

Here are some research papers published by our group in the past years. You can filter and search for the content through relevant tags.

2024

Iterative Online Image Synthesis via Diffusion Model for Imbalanced Classification
Iterative Online Image Synthesis via Diffusion Model for Imbalanced Classification
Shuhan Li, Yi Lin, Hao Chen, Kwang-Ting Cheng
Medical Image Computing and Computer Assisted Intervention (MICCAI), 2024
Rethinking Autoencoders for Medical Anomaly Detection from A Theoretical Perspective
Aligning Medical Images with General Knowledge from Large Language Models
Yu Cai, Hao Chen, Kwang-Ting Cheng
Medical Image Computing and Computer Assisted Intervention (MICCAI), 2024
Aligning Medical Images with General Knowledge from Large Language Models
Aligning Medical Images with General Knowledge from Large Language Models
Xiao Fang, Yi Lin, Dong Zhang, Kwang-Ting Cheng, and Hao Chen
Medical Image Computing and Computer Assisted Intervention (MICCAI), 2024
LE-NAS: Learning-based Ensemble with NAS for Dose Prediction
LE-NAS: Learning-based Ensemble with NAS for Dose Prediction
Yi Lin, Yanfei Liu, Hao Chen, Xin Yang, Kai Ma, Yefeng Zheng, Kwang-Ting Cheng
IEEE Transactions on Cybernetics
BoNuS: Boundary Mining for Nuclei Segmentation with Partial Point Labels
BoNuS: Boundary Mining for Nuclei Segmentation with Partial Point Labels
Yi Lin, Zeyu Wang, Dong Zhang, Kwang-Ting Cheng, Hao Chen
IEEE Transactions on Medical Imaging (TMI)
DoRA: Weight-Decomposed Low-Rank Adaptation
DoRA: Weight-Decomposed Low-Rank Adaptation
Shih-Yang Liu, Chien-Yi Wang, Hongxu Yin, Pavlo Molchanov, Yu-Chiang Frank Wang, Kwang-Ting Cheng, Min-Hung Chen
Proceedings of the 41th International Conference on Machine Learning (ICML), 2024
Genetic Quantization-Aware Approximation for Non-Linear Operations in Transformers
Genetic Quantization-Aware Approximation for Non-Linear Operations in Transformers
Pingcheng Dong, Yonghao Tan, Dong Zhang, Tianwei Ni, Xuejiao Liu, Yu Liu, Peng Luo, Luhong Liang, Shih-Yang Liu, Xijie Huang, Huaiyu Zhu, Yun Pan, Fengwei An, Kwang-Ting Cheng
2024 61st ACM/IEEE Design Automation Conference (DAC)
AdaP-CIM: Compute-in-Memory Based Neural Network Accelerator using Adaptive Posit
AdaP-CIM: Compute-in-Memory Based Neural Network Accelerator using Adaptive Posit
Jingyu He, Fengbin Tu, Kwang-Ting Cheng, Chi-Ying Tsui
2024 Design, Automation & Test in Europe Conference & Exhibition (DATE)

2023

Nuclei segmentation with point annotations from pathology images via self-supervised learning and co-training
Nuclei segmentation with point annotations from pathology images via self-supervised learning and co-training
Yi Lin, Zhiyong Qu, Hao Chen, Zhongke Gao, Yuexiang Li, Lili Xia, Kai Ma, Yefeng Zheng, Kwang-Ting Cheng
Medical Image Analysis (MEDIA), 2023
Compete to Win: Enhancing Pseudo Labels for Barely-supervised Medical Image Segmentation
Compete to Win: Enhancing Pseudo Labels for Barely-supervised Medical Image Segmentation
Huimin Wu, Xiaomeng Li, Yiqun Lin, Kwang-Ting Cheng
IEEE Transactions on Medical Imaging (TMI), 2023
FedMix: Mixed Supervised Federated Learning for Medical Image Segmentation
FedMix: Mixed Supervised Federated Learning for Medical Image Segmentation
Jeffry Wicaksana, Zengqiang Yan, Dong Zhang, Xijie Huang, Huimin Wu, Xin Yang, Kwang-Ting Cheng
IEEE Transactions on Medical Imaging (TMI), 2023
The Lighter The Better: Rethinking Transformers in Medical Image Segmentation Through Adaptive Pruning
The Lighter The Better: Rethinking Transformers in Medical Image Segmentation Through Adaptive Pruning
Xian Lin, Li Yu, Kwang-Ting Cheng, Zengqiang Yan
IEEE Transactions on Medical Imaging (TMI), 2023
Few Shot Medical Image Segmentation with Cross Attention Transformer
Few Shot Medical Image Segmentation with Cross Attention Transformer
Yi Lin, Yufan Chen, Kwang-Ting Cheng, Hao Chen
Medical Image Computing and Computer Assisted Intervention (MICCAI), 2023
Radiomics-Informed Deep Learning for Classification of Atrial Fibrillation Sub-Types from Left-Atrium CT Volumes
Radiomics-Informed Deep Learning for Classification of Atrial Fibrillation Sub-Types from Left-Atrium CT Volumes
Weihang Dai, Xiaomeng Li, Taihui Yu, Di Zhao, Jun Shen, Kwang-Ting Cheng
Medical Image Computing and Computer Assisted Intervention (MICCAI), 2023
 Rethinking Boundary Detection in Deep Learning Models for Medical Image Segmentation
Rethinking Boundary Detection in Deep Learning Models for Medical Image Segmentation
Yi Lin, Dong Zhang, Xiao Fang, Yufan Chen, Kwang-Ting Cheng, Hao Chen
Information Processing in Medical Imaging (IPMI), 2023
Dynamic Sub-Cluster-Aware Network for Few-Shot Skin Disease Classification
Dynamic Sub-Cluster-Aware Network for Few-Shot Skin Disease Classification
Shuhan LI, Xiaomeng Li, Xiaowei Xu, Kwang-Ting Cheng
IEEE Transactions on Neural Networks and Learning Systems (TNNLS), 2023
Exploring feature representation learning for semi-supervised medical image segmentation
Exploring feature representation learning for semi-supervised medical image segmentation
Huimin Wu, Xiaomeng Li, Kwang-Ting Cheng
IEEE Transactions on Neural Networks and Learning Systems (TNNLS), 2023
Semi-Supervised Contrastive Learning for Deep Regression with Ordinal Rankings from Spectral Seriation
Semi-Supervised Contrastive Learning for Deep Regression with Ordinal Rankings from Spectral Seriation
Weihang Dai, Yao Du, Hanru Bai, Kwang-Ting Cheng, Xiaomeng Li
37th Conference on Neural Information Processing Systems (NeurIPS), 2023
CAE-GReaT: Convolutional-Auxiliary Efficient Graph Reasoning Transformer for Dense Image Predictions
CAE-GReaT: Convolutional-Auxiliary Efficient Graph Reasoning Transformer for Dense Image Predictions
Dong Zhang, Yi Lin, Jinhui Tang, Kwang-Ting Cheng
International Journal of Computer Vision (IJCV), 2023
LLM-FP4: 4-Bit Floating-Point Quantized Transformers
LLM-FP4: 4-Bit Floating-Point Quantized Transformers
Shih-yang Liu, Zechun Liu, Xijie Huang, Pingcheng Dong, Kwang-Ting Cheng
The 2023 Conference on Empirical Methods in Natural Language Processing (EMNLP)
Oscillation-free quantization for low-bit vision transformers
Oscillation-free quantization for low-bit vision transformers
Shih-Yang Liu, Zechun Liu, Kwang-Ting Cheng
International Conference on Machine Learning (ICML), 2023
Architecting Efficient Multi-modal AIoT Systems
Architecting Efficient Multi-modal AIoT Systems
Xiaofeng Hou, Jiacheng Liu, Xuehan Tang, Chao Li, Jia Chen, Luhong Liang, Kwang-Ting Cheng, Minyi Guo
Proceedings of the 50th Annual International Symposium on Computer Architecture (ISCA), 2023
MMExit: Enabling Fast and Efficient Multi-modal DNN Inference with Adaptive Network Exits
MMExit: Enabling Fast and Efficient Multi-modal DNN Inference with Adaptive Network Exits
Xiaofeng Hou, Jiacheng Liu, Xuehan Tang, Chao Li, Kwang-Ting Cheng, Li Li, and Minyi Guo
The 29th International European Conference on Parallel and Distributed Computing, 2023
AutoDCIM: An Automated Digital CIM Compiler
AutoDCIM: An Automated Digital CIM Compiler
Jia Chen, Fengbin Tu, Kunming Shao, Fengshi Tian, Xiao Huo, Chi-Ying Tsui, Kwang-Ting Cheng
2023 60th ACM/IEEE Design Automation Conference (DAC)
RVComp: Analog Variation Compensation for RRAM-based In-Memory Computing
RVComp: Analog Variation Compensation for RRAM-based In-Memory Computing
Jingyu He, Yucong Huang, Miguel Lastras, Tao Ye, Chi-Ying Tsui, Kwang-Ting Cheng
2023 28th Asia and South Pacific Design Automation Conference (ASP-DAC)
A 1920×1080 129fps 4.3pJ/pixel Stereo-Matching Processor for Pico Aerial Vehicles
A 1920×1080 129fps 4.3pJ/pixel Stereo-Matching Processor for Pico Aerial Vehicles
Pingcheng Dong, Zhuoyu Chen, Ke Li, Lei Chen, Kwang-Ting Cheng, Fengwei An
2023 IEEE European Solid-State Circuits Conference (ESSCIRC)
BIOS: A 40nm Bionic Sensor-defined 0.47pJ/SOP, 268.7TSOPs/W Configurable Spiking Neuron-in-Memory Processor for Wearable Healthcare
BIOS: A 40nm Bionic Sensor-defined 0.47pJ/SOP, 268.7TSOPs/W Configurable Spiking Neuron-in-Memory Processor for Wearable Healthcare
Fengshi Tian, Xiaomeng Wang, Jinbo Chen, Jiakun Zheng, Hui Wu, Xuejiao Liu, Fengbin Tu, Jie Yang, Mohamad Sawan, Chi-Ying Tsui, Kwang-Ting Tim Cheng
2023 IEEE European Solid-State Circuits Conference (ESSCIRC)
A 137.5 TOPS/W SRAM Compute-in-Memory Macro with 9-b Memory Cell-Embedded ADCs and Signal Margin Enhancement Techniques for AI Edge Applications
A 137.5 TOPS/W SRAM Compute-in-Memory Macro with 9-b Memory Cell-Embedded ADCs and Signal Margin Enhancement Techniques for AI Edge Applications
Xiaomeng Wang, Fengshi Tian, Xizi Chen, Jiakun Zheng, Xuejiao Liu, Fengbin Tu, Jie Yang, Mohamad Sawan, Kwang-Ting Cheng, Chi-Ying Tsui
2023 IEEE Asian Solid-State Circuits Conference (ASSCC)
A Tiny Accelerator for Mixed-Bit Sparse CNN Based on Efficient Fetch Method of SIMO SPad
A Tiny Accelerator for Mixed-Bit Sparse CNN Based on Efficient Fetch Method of SIMO SPad
Xianghong Hu, Xuejiao Liu, Yu Liu, Haowei Zhang, Xijie Huang, Xihao Guan, Luhong Liang, Chi Ying Tsui, Xiaoming Xiong, Kwang-Ting Cheng
IEEE Transactions on Circuits and Systems II: Express Briefs
SNNOpt: An Application-Specific Design Framework for Spiking Neural Networks
SNNOpt: An Application-Specific Design Framework for Spiking Neural Networks
Jingyu He, Ziyang Shen, Fengshi Tian, Jinbo Chen, Jie Yang, Mohamad Sawan, Kwang-Ting Cheng, Paul Bogdan, Chi-Ying Tsui
2023 IEEE 5th International Conference on Artificial Intelligence Circuits and Systems (AICAS)
Binary is All You Need: Ultra-Efficient Arrhythmia Detection with a Binary-Only Compressive System
Binary is All You Need: Ultra-Efficient Arrhythmia Detection with a Binary-Only Compressive System
Fengshi Tian, Xiaomeng Wang, Jinbo Chen, Jie Yang, Mohamad Sawan, Chi-Ying Tsui, Kwang-Ting Tim Cheng
2023 IEEE 5th International Conference on Artificial Intelligence Circuits and Systems (AICAS)

2022

Cyclical Self-Supervision for Semi-Supervised Ejection Fraction Prediction From Echocardiogram Videos
Cyclical Self-Supervision for Semi-Supervised Ejection Fraction Prediction From Echocardiogram Videos
Weihang Dai, Xiaomeng Li, Xinpeng Ding, Kwang-Ting Cheng
IEEE Transactions on Medical Imaging (TMI), 2022
InsMix: Towards Realistic Generative Data Augmentation for Nuclei Instance Segmentation
InsMix: Towards Realistic Generative Data Augmentation for Nuclei Instance Segmentation
Yi Lin, Zeyu Wang, Kwang-Ting Cheng, Hao Chen
Medical Image Computing and Computer Assisted Intervention (MICCAI), 2022
Dual-Distribution Discrepancy for Anomaly Detection in Chest X-Rays
Dual-Distribution Discrepancy for Anomaly Detection in Chest X-Rays
Yu Cai, Hao Chen, Xin Yang, Yu Zhou, Kwang-Ting Cheng
Medical Image Computing and Computer Assisted Intervention (MICCAI), 2022
Graph Reasoning Transformer for Image Parsing
Graph Reasoning Transformer for Image Parsing
Dong Zhang, Jinhui Tang, Kwang-Ting Cheng
ACM Multimedia (ACM MM), 2022
Nonuniform-to-Uniform Quantization: Towards Accurate Quantization via Generalized Straight-Through Estimation
Nonuniform-to-Uniform Quantization: Towards Accurate Quantization via Generalized Straight-Through Estimation
Zechun Liu, Kwang-Ting Cheng, Dong Huang, Eric Xing, Zhiqiang Shen
IEEE/CVF Conference on Computer Vision and Pattern Recognition (CVPR), 2022
Vision Transformer Slimming: Multi-Dimension Searching in Continuous Optimization Space
Vision Transformer Slimming: Multi-Dimension Searching in Continuous Optimization Space
Arnav Chavan, Zhiqiang Shen, Zhuang Liu, Zechun Liu, Kwang-Ting Cheng, Eric Xing
IEEE/CVF Conference on Computer Vision and Pattern Recognition (CVPR), 2022
SDQ: Stochastic Differentiable Quantization with Mixed Precision
SDQ: Stochastic Differentiable Quantization with Mixed Precision
Xijie Huang, Zhiqiang Shen, Shichao Li, Zechun Liu, Xianghong Hu, Jeffry Wicaksana, Eric Xing, Kwang-Ting Cheng
International Conference on Machine Learning (ICML), 2022
Customized Federated Learning for Multi-Source Decentralized Medical Image Classification
Customized Federated Learning for Multi-Source Decentralized Medical Image Classification
Jeffry Wicaksana, Zengqiang Yan, Xin Yang, Yang Liu, Lixin Fan, Kwang-Ting Cheng
IEEE Journal of Biomedical and Health Informatics (JBHI), 2022
Imitation Learning-Based Algorithm for Drone Cinematography System
Imitation Learning-Based Algorithm for Drone Cinematography System
Yuanjie Dang, Chong Huang, Peng Chen, Ronghua Liang, Xin Yang, Kwang-Ting Cheng
IEEE Transactions on Cognitive and Developmental Systems, 2022
Adaptive Contrast for Image Regression in Computer-Aided Disease Assessment
Adaptive Contrast for Image Regression in Computer-Aided Disease Assessment
Weihang Dai, Xiaomeng Li, Wan Hang Keith Chiu, Michael D. Kuo, Kwang-Ting Cheng
IEEE Transactions on Medical Imaging (TMI), 2022
Stereo Neural Vernier Caliper
Stereo Neural Vernier Caliper
Shichao Li, Zechun Liu, Zhiqiang Shen, Kwang-Ting Cheng
AAAI Conference on Artificial Intelligence (AAAI), 2022
Automated Vision-Based Wellness Analysis for Elderly Care Centers
Automated Vision-Based Wellness Analysis for Elderly Care Centers
Xijie Huang, Jeffry Wicaksana, Shichao Li, Kwang-Ting Cheng
AAAI Conference on Artificial Intelligence (AAAI) Workshop on Health Intelligence, 2022